[ 0:00] | load /home/xrockai/src/divine/nightly/test/svcomp/loops/id_trans_false.c id_trans_false.c [ 0:00] | expect --result error --location id_trans_false.c:46 [ 0:00] | expect --trace FAULT: --trace-count 1 [ 0:00] | cc -o testcase.bc id_trans_false.c [ 0:00] | verify --max-memory 4GiB --max-time 600 --threads 2 --report-filename verify.out --solver stp --symbolic --sequential -o nofail:malloc testcase.bc [ 0:00] compiling id_trans_false.c [ 0:00] loading bitcode … DiOS … LART … RR … constants … done [ 0:13] booting … done [ 0:13] states per second: 3.34001 [ 0:15] state count: 5 [ 0:15] mips: 0.17 [ 0:15] symbolic: 1 [ 0:16] [ 0:16] error found: yes [ 0:16] error trace: | [ 0:16] ASSUME (not (= (concat #x00000000 #x00000020) #x0000000000000000)) [ 0:16] ASSUME (= var_1 (bvsdiv var_2 #x00000020)) [ 0:16] ASSUME (not (= (concat #x00000000 #x00000008) #x0000000000000000)) [ 0:16] ASSUME (and (= var_1 (bvsdiv var_2 #x00000020)) (bvslt #x00000000 (bvsdiv var_2 #x00000008))) [ 0:16] ASSUME (bvslt #x00000000 var_3) [ 0:16] ASSUME (and (bvslt #x00000000 var_3) (bvslt #x00000000 var_3)) [ 0:16] ASSUME (and (and (= var_1 (bvsdiv var_2 #x00000020)) (bvslt #x00000000 (bvsdiv var_2 #x00000008))) (not (bvslt #x00000000 var_1))) [ 0:16] FAULT: verifier error called [ 0:16] [0] FATAL: dios assertion violation in userspace [ 0:16] [ 0:16] active stack: [ 0:17] - symbol: void __dios::FaultBase::handler<__dios::Context>(_VM_Fault, _VM_Frame*, void (*)()) [ 0:17] location: /dios/sys/fault.hpp:118 [ 0:17] - symbol: __dios_fault [ 0:17] location: /dios/arch/divm/fault.c:12 [ 0:17] - symbol: __VERIFIER_error [ 0:17] location: /dios/libc/svcomp/svcomp-error.cpp:5 [ 0:17] - symbol: lart.__VERIFIER_assert [ 0:17] location: id_trans_false.c:26 [ 0:17] - symbol: main [ 0:17] location: id_trans_false.c:46 [ 0:17] - symbol: __dios_start [ 0:17] location: /dios/libc/sys/start.cpp:94 [ 0:17] + divine sim --batch --skip-init --load-report verify.out [ 0:17] [ 0:30] ^ —————. —.— . . —.— . . .————— . . [ 0:30] ——— | | | | | | |\ | | | | [ 0:30] —(o)— | | | | | | | \ | |———— '————| [ 0:30] ——————— | | | \ / | | \| | | [ 0:30] ————————— —————' —'— ' —'— ' ' '————— ' [ 0:30] [ 0:30] Welcome to 'divine sim', an interactive debugger. Type 'help' to get started. [ 0:30] traced states: [ 0:30] ▶ state #1 [new] -- active threads: [0:0] -- [ 0:30] T: FAULT: verifier error called [ 0:30] # executing void __dios::FaultBase::handler<{Context}>(_VM_Fault, _VM_Frame*, void (*)()) at /dios/sys/fault.hpp:118 [ 0:30] # NOTE: $frame in __dios_fault [ 0:30] > backtrace [ 0:30] void __dios::FaultBase::handler<{Context}>(_VM_Fault, _VM_Frame*, void (*)()) at /dios/sys/fault.hpp:118 [ 0:30] __dios_fault at /dios/arch/divm/fault.c:12 [ 0:30] __VERIFIER_error at /dios/libc/svcomp/svcomp-error.cpp:5 [ 0:30] lart.__VERIFIER_assert at id_trans_false.c:26 [ 0:30] main at id_trans_false.c:46 [ 0:30] __dios_start at /dios/libc/sys/start.cpp:94 [ 0:30] # executing void __dios::FaultBase::handler<{Context}>(_VM_Fault, _VM_Frame*, void (*)()) at /dios/sys/fault.hpp:118 [ 0:30] # NOTE: $frame in __dios_fault