[ 0:00] + cat [ 0:00] + sim file.c [ 0:00] compiling file.c [ 0:00] [ 0:02] ^ —————. —.— . . —.— . . .————— . . [ 0:02] ——— | | | | | | |\ | | | | [ 0:02] —(o)— | | | | | | | \ | |———— '————| [ 0:02] ——————— | | | \ / | | \| | | [ 0:02] ————————— —————' —'— ' —'— ' ' '————— ' [ 0:02] [ 0:02] Welcome to 'divine sim', an interactive debugger. Type 'help' to get started. [ 0:02] # executing __boot at /dios/config/common.hpp:28 [ 0:02] > start [ 0:02] ▶ state #1 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #2 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #3 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #4 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #5 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #6 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #7 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #8 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #9 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #10 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #11 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #12 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #13 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #14 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #15 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #16 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #17 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #18 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #19 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #20 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #21 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #22 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #23 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #24 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #25 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #26 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #27 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #28 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #29 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #30 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #31 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #32 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #33 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #34 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #35 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #36 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #37 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #38 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #39 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #40 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #41 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #42 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #43 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #44 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #45 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #46 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #47 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #48 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #49 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #50 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #51 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #52 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #53 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #54 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #55 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #56 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #57 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #58 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #59 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #60 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #61 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #62 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #63 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #64 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #65 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #66 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #67 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #68 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #69 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #70 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #71 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #72 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #73 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #74 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #75 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #76 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #77 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #78 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #79 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #80 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #81 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #82 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #83 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #84 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #85 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #86 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #87 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #88 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #89 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #90 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #91 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #92 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #93 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #94 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #95 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #96 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #97 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #98 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #99 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #100 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #101 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #102 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #103 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #104 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #105 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #106 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #107 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #108 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #109 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #110 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #111 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #112 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #113 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #114 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #115 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #116 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #117 [new] -- active threads: [0:0] -- [ 0:03] ▶ state #118 [new] -- active threads: [0:0] -- [ 0:03] # executing main at file.c:2 [ 0:03] > setup --debug-everything [ 0:03] # executing main at file.c:2 [ 0:03] > info registers [ 0:03] Constants: heap* 10000002 0 [ 0:03] Globals: heap* 10000001 0 [ 0:03] Frame: heap* e0416ff2 0 [ 0:03] User1: heap* 15db1c73 0 [ 0:03] User2: heap* 65fbc4d5 0 [ 0:03] User3: weak* fe138bda 0 [ 0:03] User4: global* 0 0 [ 0:03] Flags: 0 [ 0:03] ObjIdShuffle: 3fb481e3 [ 0:03] PC: code* 80001 4 [ 0:03] Scheduler: code* 80113 0 [ 0:03] State: heap* 78cdf456 0 [ 0:03] FaultHandler: code* 800ba 4 [ 0:03] # executing main at file.c:2 [ 0:03] > stepa [ 0:03] T: FAULT: access of size 8 at [heap* 9e1184cd 10h ddp] is 8 bytes out of bounds [ 0:03] # executing __dios::FaultBase::fault_handler(int, _VM_Frame*, int) at /dios/sys/fault.cpp:58 [ 0:03] > info registers [ 0:03] Constants: heap* 10000002 0 [ 0:03] Globals: heap* 10000001 0 [ 0:03] Frame: heap* d28d1375 0 [ 0:03] User1: heap* 15db1c73 0 [ 0:03] User2: heap* 65fbc4d5 0 [ 0:03] User3: weak* fe138bda 0 [ 0:03] User4: global* 0 0 [ 0:03] Flags: _VM_CF_IgnoreLoop | _VM_CF_IgnoreCrit | _VM_CF_Error | _VM_CF_KernelMode | 0x80000 [ 0:03] ObjIdShuffle: 3fb481f4 [ 0:03] PC: code* 80287 3b [ 0:03] Scheduler: code* 80113 0 [ 0:03] State: heap* 78cdf456 0 [ 0:03] FaultHandler: code* 800ba 4 [ 0:03] # executing __dios::FaultBase::fault_handler(int, _VM_Frame*, int) at /dios/sys/fault.cpp:58 [ 0:03] > info registers [ 0:03] Constants: heap* 10000002 0 [ 0:03] Globals: heap* 10000001 0 [ 0:03] Frame: heap* d28d1375 0 [ 0:03] User1: heap* 15db1c73 0 [ 0:03] User2: heap* 65fbc4d5 0 [ 0:03] User3: weak* fe138bda 0 [ 0:03] User4: global* 0 0 [ 0:03] Flags: _VM_CF_IgnoreLoop | _VM_CF_IgnoreCrit | _VM_CF_Error | _VM_CF_KernelMode | 0x80000 [ 0:03] ObjIdShuffle: 3fb481f4 [ 0:03] PC: code* 80287 3b [ 0:03] Scheduler: code* 80113 0 [ 0:03] State: heap* 78cdf456 0 [ 0:03] FaultHandler: code* 800ba 4 [ 0:03] # executing __dios::FaultBase::fault_handler(int, _VM_Frame*, int) at /dios/sys/fault.cpp:58 [ 0:03] > info registers [ 0:03] Constants: heap* 10000002 0 [ 0:03] Globals: heap* 10000001 0 [ 0:03] Frame: heap* d28d1375 0 [ 0:03] User1: heap* 15db1c73 0 [ 0:03] User2: heap* 65fbc4d5 0 [ 0:03] User3: weak* fe138bda 0 [ 0:03] User4: global* 0 0 [ 0:03] Flags: _VM_CF_IgnoreLoop | _VM_CF_IgnoreCrit | _VM_CF_Error | _VM_CF_KernelMode | 0x80000 [ 0:03] ObjIdShuffle: 3fb481f4 [ 0:03] PC: code* 80287 3b [ 0:03] Scheduler: code* 80113 0 [ 0:03] State: heap* 78cdf456 0 [ 0:03] FaultHandler: code* 800ba 4 [ 0:03] # executing __dios::FaultBase::fault_handler(int, _VM_Frame*, int) at /dios/sys/fault.cpp:58 [ 0:03] [ 0:03] = expected ========== [ 0:03] + ^# executing __boot at [ 0:03] > start [ 0:03] > setup --debug-everything [ 0:03] - ^# executing [ 0:03] + ^# executing main at [ 0:03] > info registers [ 0:03] + ^\s*Constants [ 0:03] + ^\s*Globals [ 0:03] + ^\s*Frame [ 0:03] + ^\s*PC [ 0:03] > stepa [ 0:03] + ^# executing __dios::FaultBase [ 0:03] > info registers [ 0:03] + ^\s*Flags:.*IgnoreCrit [ 0:03] > info registers [ 0:03] + ^\s*Flags:.*Error [ 0:03] > info registers [ 0:03] + ^\s*Flags:.*KernelMode [ 0:03] [ 0:03] = matched =========== [ 0:03] # executing __boot at /dios/config/common.hpp:28 | ^# executing __boot at [ 0:03] > start | ^> start [ 0:03] > setup --debug-everything | ^> setup --debug-everything [ 0:03] # executing main at file.c:2 | ^# executing main at [ 0:03] > info registers | ^> info registers [ 0:03] Constants: heap* 10000002 0 | ^\s*Constants [ 0:03] Globals: heap* 10000001 0 | ^\s*Globals [ 0:03] Frame: heap* e0416ff2 0 | ^\s*Frame [ 0:03] PC: code* 80001 4 | ^\s*PC [ 0:03] > stepa | ^> stepa [ 0:03] # executing __dios::FaultBase::fault_handler(int, _VM_Frame*, int) at /dios/sys/fault.cpp:58 | ^# executing __dios::FaultBase [ 0:03] > info registers | ^> info registers [ 0:03] Flags: _VM_CF_IgnoreLoop | _VM_CF_IgnoreCrit | _VM_CF_Error | _VM_CF_KernelMode | 0x80000 | ^\s*Flags:.*IgnoreCrit [ 0:03] > info registers | ^> info registers [ 0:03] Flags: _VM_CF_IgnoreLoop | _VM_CF_IgnoreCrit | _VM_CF_Error | _VM_CF_KernelMode | 0x80000 | ^\s*Flags:.*Error [ 0:03] > info registers | ^> info registers [ 0:03] Flags: _VM_CF_IgnoreLoop | _VM_CF_IgnoreCrit | _VM_CF_Error | _VM_CF_KernelMode | 0x80000 | ^\s*Flags:.*KernelMode [ 0:03] + check debris [ 0:03] + test -e warning